Other Tags

OVM x 2492
SystemVerilog x 7161
UVM x 7043
Downloads x 97
registers x 9
verbosity x 4
reuse x 4
qvp x 1
erm x 1
tlm x 18
Coverage x 598
semaphore x 14
assertion x 428
gcc x 2
uvm-code x 2
function x 9
convert x 4
uvm_error x 12
uvm_info x 20
systemc x 11
scoreboard x 35
coverpoint x 32
testbench x 16
error x 21
sequences x 8
sequencer x 26
RAL x 87
reg x 1
wire x 7
logic x 18
Flow-UVM x 5
cross x 8
PSL x 2
uvm-error x 9
Write x 4
modport x 12
bind x 26
mailbox x 16
class x 38
ocp x 2
uvc x 9
generate x 12
reference x 1
DUT x 6
override x 16
Package x 29
questasim x 11
display x 12
questa x 11
TPRGED x 1
multiple x 3
hierarchy x 8
parameter x 22
typedef x 12
SEED x 6
PRNG x 2
import x 7
include x 5
ovm-uvm x 2
autobins x 2
deep-copy x 6
uvm-agent x 7
tlm-port x 3
SVA x 236
RUVM x 2
sequence x 82
tlp x 1
SDRAM x 1
interface x 75
config_db x 21
uvm_env x 6
constraint x 65
DPI-error x 6
run-error x 2
sv-model x 1
adc-model x 1
guide x 1
tutorial x 1
reporting x 3
uvm-info x 3
testflow x 1
Reg-block x 1
UVM_PHASE x 11
SV x 30
Priority x 4
inout x 5
uvm_driver x 11
predict x 6
Randomize x 12
unknown x 1
Recording x 2
task x 22
AHB-burst x 7
waveform x 3
DPI x 41
macros x 12
checker x 15
iff x 2
rose x 5
fell x 6
posedge x 1
multi x 1
uvm_event x 10
trigger x 1
assert x 12
negative x 1
signed x 9
OOPS x 19
FSM x 9
LRM x 7
casting x 18
tlm_fifo x 4
uvm_object x 12
queue x 20
monitor x 24
Profiling x 1
dpi-c x 16
frequency x 2
create x 8
Address x 2
SV-DPI x 4
GP-UVM x 1
ncelab-E x 2
functions x 6
get-set x 4
VHDL x 11
driver x 34
Bins x 6
TLM-ports x 7
vopt x 3
generic x 2
uvm_mem x 14
SOC x 4
is_active x 2
UVM-Driver x 15
Blocking x 3
factory x 17
color x 1
stdout x 1
backdoor x 10
AXI3 x 2
shortreal x 1
property x 14
range x 1
Copy x 6
clone x 10
soft x 1
sv-env x 3
put-port x 2
get-port x 1
timeunit x 4
dump-file x 1
ctags-vim x 1
PCIe x 3
layering x 2
Wrapper x 2
learning x 2
grab x 2
ungrab x 2
IMPDLL x 2
events x 5
OOP x 5
USB x 1
fifo x 13
no-of-1s x 1
Verilog x 38
accept_tr x 2
begin_tr x 3
end_tr x 2
VIP x 14
agents x 4
busses x 1
unique x 8
error-127 x 1
datapath x 2
Queues x 31
watchdog x 2
uvm_reg x 37
UVM-QVIP x 1
topology x 2
reg2bus x 2
bus2reg x 4
genvar x 4
gcc-44 x 1
Skew x 3
Delay x 7
ESL x 1
RTL x 9
randc x 10
UVM-bind x 1
FEC x 2
VRM x 2
ovm_pkg x 1
phase x 2
matlab x 3
SV-bind x 1
decorator x 1
sprint x 5
ip-xact x 2
caption x 1
Parity x 1
module x 10
get_type x 2
vcs x 4
GLS x 4
N2 x 1
N4 x 1
N6 x 1
do_print x 2
SIGSEGV x 3
dist x 11
item_done x 4
VCD x 3
vcd_files x 1
dumpfile x 1
tcl x 2
cov_even x 1
UVM-task x 1
modelsim x 7
Altera x 2
vseq x 1
vseqr x 1
makefile x 2
iTBA x 1
fscanf x 4
unix x 1
peek x 2
SVA-bind x 2
TLM-20 x 4
static x 8
object x 3
stable x 9
run_test x 6
tlm2 x 1
tlm1 x 1
eclipse x 1
IDE x 1
plugin x 1
supernew x 2
always x 6
automatic x 4
DFT x 2
Call-back x 2
tasks x 4
const x 2
event x 16
Seminar x 12
thread x 5
clocking x 1
program x 4
cover x 1
Checkers x 11
solution x 1
cast x 27
vsim x 3
UVM-phases x 16
run_phase x 19
predictor x 4
Example x 1
nettype x 1
UVM-reuse x 4
CDC x 6
monitors x 1
uvm_macro x 2
no-access x 1
reset x 16
Downtime x 1
test-plan x 3
enum x 22
mirror x 3
realtime x 10
UVMC x 3
glitch x 8
clock x 23
syntax x 2
recovery x 1
time-unit x 1
vsim-3996 x 1
vsim-3978 x 1
vsim-3567 x 1
print x 3
timeout x 6
NPITEM x 1
uvm_do_on x 3
Question x 1
root x 3
uvm-ral x 82
query x 1
configdb x 8
assert-0 x 2
Migration x 1
past x 8
severity x 1
Debug x 11
uvm-push x 1
Lint x 2
NOA x 3
UCIS x 3
uvm-print x 3
SVApast x 3
put_port x 3
item x 3
Xilinx x 3
REQ x 4
RSP x 1
Pipelined x 4
slow x 2
covergroup x 31
signal x 2
virtual x 9
UVM-12 x 5
Callbacks x 5
Rank x 1
asertion x 2
APB3 x 1
warning x 1
supress x 1
for-loop x 16
process x 3
CRC5 x 2
plusargs x 1
UVM-ports x 2
add_reg x 1
unisim x 1
ddr x 1
subcycle x 1
setup x 1
Course x 5
SVUnit x 2
binding x 2
Jenkins x 1
uvm_pool x 2
BFM x 15
dumpvars x 1
ports x 3
contraint x 2
default x 2
pulldown x 2
library x 3
IDFT x 1
NBA x 3
Modelling x 3
pack_byes x 1
system-C x 1
abv x 2
frontdoor x 5
Sample x 1
Transport x 1
testcase x 6
File-IO x 7
global x 2
SPI x 4
info x 1
time x 7
file x 3
Interfaces x 11
writememh x 2
sequncer x 3
sformatf x 5
abort x 1
get x 3
uvm_pkg x 2
coverate x 1
agent x 11
active x 1
max-array x 1
BURST x 2
countones x 4
I2C x 6
Start x 2
SCL x 1
L1-cache x 1
Cadence x 2
Dave-Rich x 17
BFM-tasks x 1
ovm_root x 1
randcase x 3
axi-bfm x 1
UVM-book x 1
fdsq x 1
hang x 3
always_ff x 4
type_id x 1
uvm_agent x 5
UPF x 4
uvm_queue x 1
wildcard x 3
ref x 1
projects x 1
bufif0 x 1
pullup x 4
Emulation x 2
push_back x 1
classes x 7
nested x 2
assetions x 2
inside x 3
mutuality x 1
memory x 7
test_base x 1
vopt-2245 x 1
loop x 2
numbering x 1
IO-delay x 1
max_size x 1
FLI x 1
urandom x 6
downcast x 2
axi x 7
axi_cache x 1
througout x 1
paging x 1
randmize x 1
division x 3
rounding x 3
config x 4
modular x 1
reusable x 2
scalable x 1
bitslice x 5
typename x 2
fork x 6
tristate x 1
vlog-2188 x 1
sformat x 1
instance x 3
mat x 1
Cunstruct x 1
new x 8
changed x 2
count x 2
compare x 4
compile x 2
contxt x 1
SDF x 3
max-delay x 1
min-delay x 1
Period x 2
ns-delay x 1
Vivado x 3
RACE x 2
Materials x 1
specman x 2
Visio x 1
stencils x 1
diagrams x 1
microsoft x 1
binsof x 4
putget x 1
UVM-Debug x 1
RAL-Issue x 1
SVA-reset x 1
enable x 1
disable x 3
OSVVM x 1
redefine x 2
VPI x 5
chandle x 2
void- x 1
random x 9
PRBS x 2
fire x 1
OVL_SVA x 3
DAC x 1
assertoff x 7
UVM-clone x 1
for x 1
DO-254 x 2
assume x 7
SV-Macro x 2
extends x 1
CVC x 1
PSS x 2
Training x 1
uvm_void x 1
xz_values x 1
Sampling x 3
argument x 3
if x 1
bit-array x 2
C-library x 2
synthesis x 6
bit-size x 2
bit-width x 2
AHB x 11
shuffle x 3
rearrange x 1
UART x 5
wait-fork x 4
AMBA-AXI x 12
ternary x 4
if-else x 2
uvm_do x 3
flow x 1
back2back x 2
ini-file x 1
AXI-VIP x 3
DO_CHECK x 1
UVM-TLM2 x 2
DVCon x 1
fork-join x 22
small x 1
phasing x 2
RNM x 1
enums x 2
leverage x 1
basic x 1
DIsplays x 2
PERL x 3
ovm-debug x 1
MISCMP x 1
Interrupt x 1
EBCLCST x 1
push_pull x 2
sv-uvm x 2
i2s x 1
uvm_ral x 11
increment x 2
stream x 2
sorting x 2
operators x 2
x-value x 1
avalon x 1
scripts x 2
mutex x 1
iteration x 2
impl x 1
modulus x 1
handle x 1
toggling x 1
AMBA-VIP x 2
Tri x 1
ifdef x 6
elseif x 1
elsif x 1
else x 1
define x 7
mem x 1
APB x 9
rand x 1
xvm x 1
book x 1
svh x 1
TLM20 x 2
USB-30 x 1
modulo x 1
foreach x 4
chris_hue x 3
constants x 1
uvm_fatal x 4
ICTTFC x 1
deck x 1
card x 1
dff x 1
dflipflop x 1
TYCMPAT x 1
m_is_busy x 1
order x 1
formal x 8
sscanf x 1
check x 1
floating x 1
timedelay x 1
arrays x 4
DPRAM x 1
Timescale x 4
plusarg x 1
skews x 4
ucdb x 1
Kind x 1
command x 1
do-hooks x 2
beginner x 3
jk_ff x 1
no-output x 2
RAL-stuck x 1
SIPO x 1
recursion x 1
cher x 1
array-sum x 2
dist-CRV x 2
search x 1
CDC-reset x 1
clk_en x 1
uvmobject x 1
FWRITE x 3
asserton x 3
uvm-test x 3
UVMF x 12
uvmkit x 1
GLS-run x 1
DAC-2019 x 1
longint x 2
readmemh x 5
ucbd-file x 1
vcover x 1
SEQREQZMB x 1
2Darray x 13
filewrite x 5
fileread x 3
RDC x 1
multirate x 1
Dumping x 1
simvision x 1
test-fail x 1
lock x 1
packet x 2
reseed x 1
chris-sue x 5
vopt-2574 x 1
clk x 1
uvm-force x 1
uvm_basic x 1
Bob-Oden x 1
DDR-5 x 1
Ethernet x 5
reentrant x 1
OVM_FATAL x 1
responder x 2
fork_join x 23
native x 1
port x 2
adapter x 3
Union x 3
packages x 1
UVM12 x 3
0-delays x 3
jitter x 1
Wishbone x 1
FPGA x 2
threads x 4
rand_mode x 2
data-type x 3
substr x 1
int x 1
pack x 1
unpack x 1
do_pack x 1
Scripting x 1
FORCE x 2
RELEASE x 1
UVM--OVM x 1
UART-VIP x 3
unmapped x 1
MIPS x 1
system x 3
matrix x 2
CDCRDC x 1
account x 1
content x 1
adc x 1
sinc3 x 1
mux x 2
Value-set x 1
PHY-layer x 1
INCR4 x 1
HWDATA x 1
chris_sue x 2
dave_rich x 3
Overwrite x 1
b2b x 1
VMM x 1
weight x 1
uvm-dpi x 1
FCTTYP x 1
fgets x 1
config-db x 1
find x 1
methods x 3
Read-only x 1
FATAL x 2
packed x 6
unpacked x 1
Alias x 1
isunknown x 2
operator x 1
CPU x 3
ARM x 2
read x 1
logfile x 1
uvm-pss x 1
radix x 1
uvm-phase x 2
sum-with x 1
unsigned x 3
endoftest x 3
Base-test x 1
IEEE x 2
fast-clk x 1
count-clk x 1
DMA x 2
BIST x 1
uvm_test x 3
blocked x 1
upcasting x 4
strobe x 1
byte_en x 1
macro x 3
python x 3
flops x 1
force-reg x 1
index x 2
stimuli x 1
defines x 1
etc x 1
cam x 1
ram x 1
switch x 1
In-class x 1
uvm-regex x 1
binds x 1
AXI_VIP x 1
RGM x 2
poll-read x 1
vim x 1
Amba-apb x 1
Cycle0 x 1
TEST_DONE x 1
LHS x 1
uvm-ieee x 1
naming x 1
RAL-UVM x 27
regmodel x 3
UVM_CHECK x 1
typecast x 1
bit_range x 2
uvmral x 2
arraymax x 1
complex x 1
EEnet x 1
Scan-test x 1
sprintf x 1
continue x 1
do_record x 1
TLM-FIFO x 1
triggered x 2
ISR x 1
forever x 2
0sim-time x 2
demux x 1
UVMF-SPI x 1
assign x 4
dynamic x 4
datatype x 4
4states x 1
xandz x 1
UVM_IS_OK x 1
shadow x 1
UVM-TLM x 1
recursive x 1
radomize x 1
implies x 1
tm x 1
my_dff x 1
put-task x 1
loops x 1
Using x 1
xcelium x 2
structure x 3
LTL x 1
arbieter x 1
64-bit x 1
errorXMRE x 1
uvm_color x 1
Sliding_0 x 1
Sliding_1 x 1
post_body x 1
help x 2
Facade x 1
ID x 1
axi-uvmf x 1
combine x 1
merge x 1
limit-SVA x 1
cocotb x 1
real x 1
otp x 1
fusing x 1
sector x 1
AXIS x 1
RTL-bug x 1
Legal- x 1
Response x 2
Request x 1
video x 1
Ben_Cohen x 2
next-prev x 1
ral_model x 2
log x 1
append x 1
Register x 1
local x 1
SV3 x 1
prbsq x 1
qprbs x 1
uvm_vip x 1
Using-t x 1
WSTRB x 1
riscv-uvm x 1
UML x 1
time-slot x 1
depth x 1
quartus x 1
compiler x 1
time0 x 1
ISO26262 x 1
REQ-FIFO x 1
uvm-sva x 1
near x 1
CSA-Tree x 1
do-files x 2
modports x 1
seqr-lock x 1
mealy-FSM x 1
get-put x 1
DPIC x 1
extern x 1
FPV x 1
Singular x 1
CPF x 1
Knight x 1
tour x 1
HDL-PATH x 1
NETLIST x 1
ArmV8 x 1
phy x 1
UVM-Verdi x 1
processor x 1
project x 1
frame x 1
UVM-GP x 1
Singleton x 1
field x 1
c-tests x 1
18002 x 1
Accellera x 1
bad-urls x 1
DVClub x 1
survey x 1
wait x 1
delays x 1