Input livelocks
|
|
1
|
117
|
September 9, 2024
|
Question about rand static property in class
|
|
1
|
97
|
August 7, 2024
|
Continuous Assignment
|
|
1
|
128
|
August 6, 2024
|
Declaration of a Default Value on 2 Dimensional Array
|
|
1
|
197
|
July 23, 2024
|
Too many actual arguments in Sequence create method
|
|
1
|
168
|
July 2, 2024
|
Observing duplicate bins within covergroup instance
|
|
3
|
47
|
May 22, 2025
|
Grammar does not support function calls with two dimensional select, e.g.`f()[0][0]`. Bug or feature?
|
|
3
|
93
|
September 8, 2024
|
How do we reuse a constraint in IP level at SOC level?
|
|
0
|
77
|
October 27, 2024
|
Write a SystemVerilog Checker for DUT behavior(Sequence pattern generator DUT)
|
|
0
|
113
|
October 9, 2024
|
SV DPI-c export method
|
|
0
|
148
|
July 10, 2024
|
Writing constraints for an Ethernet ARP packet
|
|
0
|
65
|
October 8, 2024
|
Building Sparse Cross Coverage Bins for arrays of enum'ed datatypes
|
|
0
|
244
|
July 5, 2024
|
Liveness and safety property in formal verification
|
|
1
|
31
|
May 29, 2025
|
Using callbacks to change access to control fields within the same register
|
|
1
|
33
|
May 12, 2025
|
Want to generate packet_type values 0(32 times), 1(64 times) and 2(128 times)
|
|
1
|
55
|
May 1, 2025
|
Non-compute-intensive way to add an asynchronous delay to a transaction
|
|
1
|
27
|
April 25, 2025
|
A curious problem about verilog task $deposit
|
|
1
|
45
|
March 31, 2025
|
Only coverpoint or cross identifier or coverpoint or cross bins as xmr allowed
|
|
1
|
57
|
December 5, 2024
|
Use of enum and struct
|
|
1
|
64
|
October 22, 2024
|
Can't explain different behavior of 2 RAL registers with W1C fields
|
|
1
|
97
|
September 20, 2024
|
Events with Null Assignment in System verilog
|
|
1
|
211
|
June 23, 2024
|
Illegal combination of drivers warning when using UVC as UVM_PASSIVE
|
|
1
|
294
|
June 17, 2024
|
How do people use Clocking blocks in synthesizable interfaces
|
|
2
|
42
|
April 29, 2025
|
UVM transactions replay through file dump
|
|
2
|
41
|
February 26, 2025
|
Connecting a unpacked array in RTL during instantitation to the testbench vip interface signals
|
|
2
|
33
|
February 13, 2025
|
No Warning or Error for arguments mismatch in $error
|
|
2
|
77
|
August 6, 2024
|
Specifying bit ranges of arrays in VCD
|
|
4
|
22
|
May 29, 2025
|
SOC integration with VIP in UVM environment
|
|
0
|
42
|
March 6, 2025
|
Parallel sequences for read & write together in axi4 lite protocol
|
|
0
|
62
|
February 13, 2025
|
RAL Explicit prediction
|
|
0
|
64
|
December 16, 2024
|
What are the various approaches for verifying a design that contain an ARM processor like A9,A72,R5 etc in UVM?
|
|
0
|
156
|
July 24, 2024
|
How to know how many times a particular sequence is run in single run of test
|
|
0
|
131
|
July 4, 2024
|
How to access non unique uvm reg with get_reg_by_name() function
|
|
0
|
186
|
June 24, 2024
|
Functional Coverage for larger bit size
|
|
1
|
41
|
May 17, 2025
|
Testbench Timeout
|
|
1
|
38
|
May 14, 2025
|
Doubt in create_map in uvm_ral
|
|
1
|
30
|
May 9, 2025
|
Should the extended abstract classes from abstract classes provide implementation to the pure virtual methods in the parent abstract class or not?
|
|
1
|
37
|
February 22, 2025
|
Display statements are failing to execute
|
|
1
|
23
|
February 15, 2025
|
Bind multiple design instances of a block
|
|
1
|
43
|
February 14, 2025
|
Systemverilog Multi-macro Usage
|
|
1
|
53
|
January 23, 2025
|
How to Identify and Print the Caller of a Task
|
|
1
|
95
|
December 4, 2024
|
Static property access and instance declaration of a class
|
|
1
|
97
|
August 8, 2024
|
Understainding LRM: why IntA= -'d12/3 yields 1431655761?
|
|
1
|
72
|
August 5, 2024
|
Nested functions compile warning
|
|
1
|
160
|
July 22, 2024
|
Regarding Instance constants being static in System verilog
|
|
1
|
107
|
July 6, 2024
|
Unable to view waveform for UVM code in Questasim
|
|
1
|
176
|
July 5, 2024
|
ReadmemH from one source file to cascaded mem RTL
|
|
1
|
83
|
July 2, 2024
|
Free tool to use concurrent assertions
|
|
1
|
111
|
June 14, 2024
|
Book/manual for code coverage
|
|
0
|
57
|
February 6, 2025
|
Desired value of a register doesn't get updated
|
|
0
|
42
|
December 17, 2024
|