Unable to view waveform for UVM code in Questasim

Hello,

I am having issues to view waveform for the UVM code that I wrote. I am using a .do file to compile and simulate the files. Below is the error that I am receiving:

** Error: (vish-4014) No objects found matching ‘*’.

Error in macro ./run.do line 11

(vish-4014) No objects found matching ‘*’.

while executing

“add wave -r *”

I am getting this error only when I am executing my adder design using the sample UVM TB that I wrote. I am able to view the waveform fine with the sample conventional TB without any class or UVM syntax.

I have attached all the files to this message. Please let me know how to resolve this issue.

Thank you,
Mohan
adder_dut.pdf (19.2 KB)
classes.pdf (49.0 KB)
run_do file.pdf (17.8 KB)
tb_conventional.pdf (20.9 KB)
tb_uvm_based.pdf (18.1 KB)

This Siemens sponsored forum is not for tool related issues. Please refer to your tool documentation or contact your vendor support team for additional assistance.