A constraint to generate odd_even_odd....... etc sequence
|
|
9
|
20268
|
June 9, 2017
|
How do I define an associative array of queues?
|
|
15
|
15916
|
August 19, 2022
|
Config_db - parameters for set/get method
|
|
28
|
11753
|
February 19, 2020
|
Coverpoint for an array or queue
|
|
9
|
19936
|
May 31, 2021
|
Can we use system verilog properties/assertions inside a class?
|
|
13
|
16725
|
October 22, 2019
|
Package export does not work like I expect
|
|
14
|
15241
|
April 8, 2022
|
Timescale and Timeunit
|
|
11
|
16907
|
September 19, 2019
|
What is the best way to get a randomized real value?
|
|
9
|
18273
|
October 14, 2022
|
What is meant by a static class?
|
|
14
|
14734
|
September 4, 2024
|
Counting number of events on clock a, while clock o is forbidden
|
|
24
|
11149
|
May 16, 2015
|
How to change random seed using command line parameter
|
|
9
|
17593
|
September 25, 2015
|
SystemVerilog Checker
|
|
9
|
17265
|
July 20, 2020
|
Interview question on constraint
|
|
22
|
11334
|
April 15, 2023
|
[SVA] signal rises and stays stable check -> how to write an assertion?
|
|
20
|
11687
|
May 24, 2019
|
Constraint for one hot encoded vectors in SV
|
|
18
|
12053
|
August 2, 2023
|
Driving a wire from a task in an interface
|
|
11
|
8357
|
July 1, 2019
|
How to check that a Signal was NEVER HIGH or NEVER RISE during the simulation?
|
|
21
|
10651
|
March 25, 2017
|
Problem in unique of Constraint Randomization
|
|
13
|
13217
|
April 29, 2016
|
Can the monitor communicate with the sequence directly?
|
|
17
|
11566
|
December 7, 2021
|
Working with multi-dimensional associative arrays
|
|
11
|
14026
|
May 6, 2014
|
Best way to introduce delay between sequences
|
|
19
|
10860
|
May 24, 2019
|
SV Assertions for Arbiter priority
|
|
12
|
13417
|
April 25, 2020
|
Assertion for ACK and REQ
|
|
12
|
13412
|
September 17, 2024
|
Clock Frequency Checker
|
|
12
|
13117
|
February 24, 2021
|
UVM
|
|
71
|
5461
|
August 3, 2018
|
Printing associative array
|
|
9
|
14534
|
February 21, 2023
|
Can i convert UVM_ERRORs to UVM_INFO or UVM_WARNING based on id?
|
|
9
|
14402
|
December 13, 2013
|
How to Sample data during Functional Coverage?
|
|
9
|
14387
|
October 10, 2017
|
Prime numbers constraint
|
|
16
|
10908
|
October 7, 2024
|
2D array constraint randomization
|
|
18
|
5784
|
November 24, 2024
|
Use of regular expression for string comparison
|
|
9
|
14060
|
May 19, 2021
|
Necessity of writing 'include "uvm_macros.svh"
|
|
12
|
11906
|
March 1, 2024
|
Why we should use non-blocking assignments in driver and blocking assignments in monitor?
|
|
15
|
10472
|
December 8, 2024
|
Near "uvm_sequence_item": syntax error, unexpected IDENTIFIER
|
|
25
|
8095
|
August 2, 2017
|
How to delete duplicate elements from associative array and Queue in System Verilog
|
|
15
|
10319
|
August 23, 2019
|
Override parameters in SV package
|
|
10
|
12297
|
September 25, 2024
|
Not registered with the factory
|
|
10
|
12207
|
January 15, 2024
|
“static task” vs. “task static”
|
|
14
|
10398
|
April 2, 2016
|
Burst Transfer
|
|
9
|
12667
|
September 5, 2018
|
SVA evaluation
|
|
12
|
6232
|
March 25, 2022
|
Magic square on system verilog
|
|
18
|
5005
|
January 18, 2024
|
Can I trigger an event in one agent and after triggered, do something inside another agent?
|
|
14
|
9829
|
December 27, 2017
|
Dumping vcd files in a UVM test
|
|
12
|
10391
|
March 4, 2015
|
Random associative array
|
|
17
|
8756
|
October 12, 2022
|
Exclude data from $urandom_range
|
|
9
|
11234
|
June 21, 2019
|
Stoping a sequencer and starting it again in UVM
|
|
13
|
9474
|
June 16, 2016
|
Specifying "ignore_bins = <everything else>" in coverpoint bins
|
|
9
|
11137
|
January 30, 2020
|
Clock generation through UVC
|
|
15
|
8649
|
March 9, 2022
|
Fork-join_none with delay
|
|
31
|
6036
|
October 8, 2024
|
Error-[TMAFTC] Too many arguments to function/task call
|
|
12
|
9335
|
July 18, 2018
|