Converting string to HDL path
|
|
9
|
9554
|
September 29, 2018
|
Assertion Check
|
|
35
|
5010
|
May 11, 2021
|
Is fork join and fork join_any with wait fork perform the same operation?
|
|
13
|
7964
|
December 26, 2019
|
Constraint to generate an array of random numbers where a certain value is repeated fixed number of times
|
|
18
|
6824
|
February 25, 2022
|
Passing parameters from command line
|
|
9
|
9283
|
February 19, 2020
|
Assertion using Generate Block
|
|
11
|
8376
|
March 30, 2015
|
Enum data type in embedded covergroup
|
|
10
|
8692
|
March 23, 2016
|
Constraint
|
|
22
|
5900
|
November 22, 2022
|
Foreach usage in randomization constraints
|
|
12
|
7683
|
November 7, 2021
|
Fork-join_none with delay
|
|
24
|
5487
|
February 15, 2023
|
Constraint for address to be inside 4k boundary
|
|
12
|
7585
|
September 3, 2021
|
Driving a wire from a task in an interface
|
|
11
|
7844
|
July 1, 2019
|
Assignment operation inside Assertion
|
|
17
|
6369
|
April 6, 2020
|
How to do conditional compilation according to macro's value?
|
|
10
|
8126
|
May 16, 2023
|
VHDL Record to SV structure
|
|
9
|
8458
|
November 11, 2019
|
[SVA] Pulse Width Assertion
|
|
10
|
7932
|
September 25, 2020
|
Why need dynamic array
|
|
9
|
8206
|
April 1, 2022
|
Any one please resolve this code Error In UVM Subscriber write function
|
|
12
|
7159
|
December 29, 2020
|
Write a constraint for polindrome (say 32 bit)?
|
|
15
|
6355
|
April 7, 2024
|
Asynchronous reset assertion
|
|
9
|
7972
|
December 28, 2018
|
How to compare the data between two uvm_tlm_analysis_fifo in scoreboard?
|
|
9
|
7721
|
July 19, 2017
|
RAL access stuck and not executed
|
|
27
|
4612
|
February 9, 2023
|
Query on Timescale directive limitation
|
|
11
|
7025
|
January 9, 2015
|
How to use simulator's command in OVM testcase
|
|
9
|
7671
|
January 21, 2016
|
Sum query on a Multi D array
|
|
13
|
6428
|
October 10, 2019
|
Connection between driver and monitor
|
|
9
|
7569
|
December 20, 2016
|
SVA : Property is a tautology
|
|
12
|
6471
|
March 30, 2021
|
SV bind in UVM where a parameter is used
|
|
10
|
6884
|
November 8, 2018
|
How to change severity of "UVM_ERROR: get: unable to locate hdl path"
|
|
14
|
5873
|
September 30, 2016
|
A randomization question between a varible and a value
|
|
20
|
4779
|
May 6, 2015
|
2D array constraint randomization
|
|
17
|
5053
|
March 5, 2024
|
Backdoor acess through user defined maps
|
|
23
|
4325
|
September 2, 2019
|
Error regarding factory.print()
|
|
12
|
5866
|
September 15, 2016
|
Reversing a string
|
|
9
|
6663
|
December 30, 2015
|
Unwanted match of $fell at the first clock cycle
|
|
14
|
5393
|
June 7, 2023
|
How to write assertions for a Clock divider
|
|
13
|
5576
|
March 30, 2020
|
Constraint involving queues and arrays
|
|
9
|
6480
|
February 13, 2023
|
Image file read
|
|
9
|
6337
|
August 27, 2014
|
Pass variable from test to sequence
|
|
9
|
6306
|
February 22, 2024
|
Coding for covergroup with coverpoint and cross
|
|
13
|
5239
|
March 6, 2020
|
Scope of disable fork
|
|
15
|
4851
|
September 3, 2021
|
Do_copy method use?
|
|
11
|
5492
|
June 2, 2021
|
Nested Implication
|
|
10
|
5675
|
June 13, 2023
|
Sequence access to a virtual interface
|
|
17
|
4387
|
August 5, 2016
|
Do we use modport of an interface when connecting to UVM test?
|
|
10
|
5545
|
January 6, 2017
|
UVM_FATAL @ send_request failed to cast sequence item : error in sequence and sequencer
|
|
10
|
5482
|
May 4, 2018
|
Assertion on req and gnt signals
|
|
14
|
4648
|
February 15, 2024
|
Randc variable not giving cyclic values
|
|
9
|
5640
|
August 22, 2018
|
How do get a report of which sequence is currently running on a sequencer?
|
|
9
|
5532
|
November 16, 2016
|
Comparing Variable slices of Fixed Arrays
|
|
9
|
5509
|
May 22, 2023
|