• Skip to main content

BUGGED OUT Podcast

Every chip has bugs — the real question is how fast you can find and fix them.

Tune-in Now!

Debug Webinar

Wednesday, Dec 03rd-8:00 AM PST

VHDL Testbenches with OSVVM, UVVM, & UVM in Questa One Sim

Register Now!

Verification Academy Live

Scottsdale, AZ | Dec. 3rd - 9:30 - 5:00 US/MST | Top Golf

Register Now!

DV Digest on YouTube

AI is Changing Verification | Interview with Abhi Kolpekwar

View Now!

New White Papers!

Formal, Avery VIP, Coverage, UPF, Functional Safety and More!

Download Today!
SIEMENS Verification Academy
  • Log In
  • Register
  • Solutions

    The Verification Academy Solutions section delivers focused insights into key market segments and verification products that address today’s emerging challenges. Explore how advanced technologies and methodologies—spanning functional verification, safety, security, and more—are applied to solve real-world design problems. This curated content brings together best practices, expert perspectives, and proven tools to accelerate verification success.

    • Techniques
    • Safety & Security
    • Methodology
    • All Solutions
    Techniques
    • Design for Test Verification
    Safety & Security
    • Functional Safety
    Methodology
    • Unified Coverage
    Design for Test Verification
    • Accelerating DFT Sign-Off with Questa One
    • Tackling Emerging DFT Verification Challenges with Questa One
    Functional Safety
    • Functional Safety for ISO 26262
    • Functional Safety for DO-254
    Unified Coverage
    • Questa One Unified Coverage Solution: Transforming Verification Through Intelligence
    • Boost Your Verification Productivity with Questa Verification IQ
    • Coverage Closure Acceleration Using Collaborative Verification IQ Tool
    Functional Safety for ISO 26262
    • Accelerated Assurance with Questa One Functional Safety
    Functional Safety for DO-254
    • Introduction to DO-254
  • Topics

    The Verification Academy offers users multiple entry points to find the information they need. One of these entry points is through Topic collections. These topics are industry standards that all design and verification engineers should recognize. While we continue to add new topics, users are encourage to further refine collection information to meet their specific interests.

    • UVM
    • Simulation & Debug
    • Methodology & Standards
    • Questa Design Solutions
    • Verification Management & IP
    • All Topics
    UVM
    • UVM Connect
    • UVM Framework
    • SystemVerilog
    Simulation & Debug
    • Simulation
    • Debug
    Methodology & Standards
    • Coverage
    • FPGA Verification
    • Functional Safety
    • Low Power
    • Portable Stimulus
    Questa Design Solutions
    • Clock-Domain Crossing
    • Formal Verification
    • Reset-Domain Crossing
    Verification Management & IP
    • Verification IQ
    • Verification IP
    • Verification Planning
  • Cookbooks

    Find all the methodology you need in this comprehensive and vast collection. The UVM and Coverage Cookbooks contain dozens of informative, executable articles covering all aspects of UVM and Coverage.

    • Coverage
    • UVM
    • Guidelines & Migration
    • SystemVerilog/SystemC Interoperability
    • UVM Testbench Acceleration through Co-Emulation
    • All Cookbooks
    Coverage
    • Coverage Metrics and Process (Theory)
    • Coverage Examples (Practice)
    • Appendices
    UVM
    • UVM Basics
    • UVM Testbench
    • UVM Configuring a Test Environment
    • UVM Analysis Components & Techniques
    • UVM End of Test Mechanisms
    • UVM Sequences
    • UVM Messaging System
    • UVM Register Abstraction Layer
    • UVM Debugging
    Guidelines & Migration
    • Coding & Performance
    • Class Reference
    • Code Examples
    • Deployment
    • Migration
    SystemVerilog/SystemC Interoperability
    • UVM Connect
    • UVMC Connections
    • UVMC Conversion
    • UVMC Command API
    UVM Testbench Acceleration through Co-Emulation
    • Emulation
    • Separate Top-Level Modules
    • Split Transactors
    • Back Pointers
    • Defining an API
    • Emulation-Ready Testbench Examples
    Coverage Metrics and Process (Theory)
    • What is Coverage
    • Kinds of Coverage
    • Code Coverage Metrics
    • Functional Coverage Metrics
    • Specification to Test Plan
    • Executable Test Plan Format
    • Testplan to Functional Coverage
    • Design For Analysis
    Coverage Examples (Practice)
    • Bus Protocol Coverage
    • APB3 Protocol Test Plan
    • APB3 Protocol Monitor
    • Block Level Functional Coverage Example
    • UART Example Test Plan
    • UART Example Covergroups
    • Datapath Example
    • BiQuad IIR Filter Test Plan
    • BiQuad IIR Filter Example Covergroups
    • System Level Functional Coverage Example
    Appendices
    • Requirements Writing Guidelines
    UVM Basics
    • UVM Components
    • UVM Factory
    • UVM Phasing
    • UVM Driver
    • UVM Monitor
    • UVM Agent
    • UVM Sequences
    • UVM Sequence Items
    • UVM Configuration Database
    • UVM Packages
    UVM Testbench
    • Testbench Architecture
    • Testbench Build
    • Sequence Driver Connection
    • Block Level Testbench
    • Integration Level Testbench
    • Dual Top Architecture
    UVM Configuring a Test Environment
    • Testbench Configuration
    • Configuring Sequences
    • Using a Parameter Package
    • Accessing Configuration Resources from a Sequence
    • Macro Cost-Benefit Analysis
    UVM Analysis Components & Techniques
    • Analysis
    • Analysis Port
    • Analysis Connections
    • Predictors
    • Scoreboards
    • Metric Analyzers
    • Post-Run Phases
    UVM End of Test Mechanisms
    • End of Test
    • Objections
    UVM Sequences
    • Sequences
    • Sequence API
    • Driver Sequence API
    • Overriding Sequences and Sequence Items
    • Virtual Sequences
    • The Sequence Library
    • Arbitrating Between Sequences
    UVM Messaging System
    • Messaging
    • Using Messaging
    • UVM Report Catcher
    • Testing Message Status
    • Command-Line Verbosity Control
    • Messaging in Sequences
    UVM Register Abstraction Layer
    • C-Based Stimulus
    • Register Package
    • Register Model & Structure
    • Complex Address Maps
    • Specifying Registers
    • Register Layer Adapter
    • Integrating a UVM Register Model in a Testbench
    UVM Debugging
    • Built in Debug
    • Reporting Verbosity
    • Command Line Processor
    Coding & Performance
    • SystemVerilog
    • UVM
    Class Reference
    • UVM 1.2
    • UVM 1.1d
    • UVM 1.1c
    • UVM 1.1b
    • UVM 1.1a
    Deployment
    • UVM Verification Component
    • Package Organization
    • Questa Compiling UVM
    Migration
    • UVM Migration
    • OVM Migration
    Sequences
    • UVM Sequence Items
    • UVM Configuration Database
    Sequence API
    • Sequence Driver Connection
    Driver Sequence API
    • Generating Stimulus with UVM Sequences
    • Overriding Sequences and Sequence Items
    Virtual Sequences
    • Virtual Sequencers (Not Recommended)
    • Hierarchical Sequences
    The Sequence Library
    • Sequence-Driver Use Models
    • Unidirectional Protocols
    • Bidirectional Protocols
    • Pipelined Protocols
    Arbitrating Between Sequences
    • Sequence Priority
    • Locking or Grabbing a Sequencer
    • Slave Sequences (Responders)
    • Wait for a Signal
    • Interrupt Sequences
    • Stopping a Sequence
    • Layering Sequences
    Integrating a UVM Register Model in a Testbench
    • Overview
    • Implementation
    SystemVerilog
    • Coding Guidelines
    • Performance Guidelines
    UVM
    • Coding Guidelines
    • Performance Guidelines
    UVM Migration
    • Migrating to UVM 1800.2
    • Migrating to UVM 1.2
    OVM Migration
    • Migrating from OVM to UVM
    • Deprecated Code
    • Sequence Library
    • Phase Aware
    • Convert Phase Methods
  • All Content

    Browse all content in the Verification Academy

    • By Type
    • By Video
    • By Tag
    • By Audience
    • All Content
    By Type
    • Cookbook Chapters
    • Slide Decks
    • Podcast
    • Tracks
    • Verification Horizon Articles
    • Verification Horizons Blog Posts
    • White Papers
    By Video
    • Conference
    • Demo
    • Lesson
    • Seminar
    • Session
    • Webinar
    By Tag
    • SystemVerilog
    • AI/ML
    • UVMF
    • Testbench
    • Industry Trends
    By Audience
    • Beginner
    • Intermediate
    • Advanced
  • Forums

    • Forums
    • By Tags
    • By Topic Status
    • All Forums
    Forums
    • UVM
    • SystemVerilog
    • Coverage
    By Tags
    • Assertion
    • SystemVerilog
    • Constraint
    • Sequence
    • RAL
    By Topic Status
    • By Latest
    • By Unsolved
    • By Solved
  • More

    • Verification Academy
    • Siemens EDA
    • Siemens Learning Center
    Verification Academy
    • BUGGED OUT Podcast
    • VA Live - Upcoming Webinars
    • VA Live - On-Demand
    • Verification Horizons Blog
    • About Us
    • Contact Us
    Siemens EDA
    • VA Live 2025
    • osmosis
    • User2User
    • Conferences & Events
    • Verification Jobs @ Siemens
    Siemens Learning Center
    • Learning Memberships
    • Learning Labs
    • On-Demand Training
    • Instructor Led
    • Badging and Certification
    BUGGED OUT Podcast
    • Episode 2: Jake Wiltgen
    • Episode 1: Tom Fitzpatrick
    VA Live - Upcoming Webinars
    • Simulation
    VA Live - On-Demand
    • Debug
    • Formal Verification
    • FPGA Verification
    • Functional Safety
    • Questa Design Solutions
    • Simulation
    • SystemVerilog
    • Verification IP
    • Verification IQ
    • Verification Planning
    Verification Horizons Blog
    • Introducing BUGGED OUT — A new bite-sized podcast for verification engineers
    • New RTL Modeling Constructs in Verilog
    • Interchange Format Standard in Hierarchical CDC and RDC Analysis
    • From Manageability to 3.0: Unlocking the Future with UCIe Verification
    • Pushing Boundaries: Smarter Verification for UCIe Multi-die Systems
    • From Novice to Expert: Your Tutorial Roadmap at DVCon Europe 2025
    • Class is Back in Session this October: Verification Academy’s Cutting-edge Weekly Webinar Series
    • No Reset? No Worries! Smarter Ways to Tackle RDCs to NRRs
    • Functional Verification Insights: a Conversation with Abhi Kolpekwar
    • The Grapes Are Back! And Cake! And C Tests with UVM and Transactions For All! Easy.
    VA Live 2025
    • Scottsdale, AZ - 12/3/2025
    • Post Event Archive
    osmosis
    • osmosis Europe 2025
    • osmosis 2025
    • osmosis 2024
    • osmosis 2023
    • osmosis 2022
    User2User
    • Mid-Atlantic
    • North America
    • Europe
    • Library Archive
    Conferences & Events
    • Siemens EDA at DAC 2025
    • DVCon
    Simulation
    • Debug Like a Pro: VHDL Testbenches with OSVVM, UVVM, & UVM in Questa One Sim
    Debug
    • Faster Debug of Complex Testbenches using Visualizer
    • Win the Tick to Trade Race by Root Causing Bugs Faster with QuestaSim
    • Prevent Performance Problems with Prompt RTL Profiling
    • Accelerate Development Using Advanced Debugging Approaches
    Formal Verification
    • HLV: Formal Verification of Synthesizable C++/SystemC Designs and Prove Equivalency with RTL Code
    • Generating SystemVerilog Assertion (SVA) Properties with Property Assist
    • Streamlining FPU Verification with an Alternative to C-reference Model Approaches
    • Explore How to Protect Against Data Corruption with Formal Security Verification
    • Questa Formal Verification IP AMBA: Achieve Protocol Compliance in Designs
    • Functional Verification Workflow for Trusted and Assured Microelectronics
    FPGA Verification
    • Securing your FPGA Design from RTL through to the Bitstream
    • Improving FPGA Safety and Security Compliance: FPGA Equivalence Checking from RTL to the Bitstream
    • Bringing Model-based Systems Engineering to IC and FPGA Design
    • ModelSim to Questa - Productivity Features
    Functional Safety
    • Enhancing Automotive Safety Verification Using Questa One Sim FX
    • Enhancing Defect Coverage in DFT
    • Safety Analysis for Automotive Chips Based on ISO 26262
    • An End-to-End Functional Safety Solution for Automotive ICs Based on ISO 26262
    • Union of SoC Design & Functional Safety FlowUnion of SoC Design & Functional Safety Flow
    Questa Design Solutions
    • Breaking Silos: Creating Synergetic Flows for Next-Gen Verification
    • Improving Designer Productivity and Enabling Faster RDC Verification Closure with Machine Learning
    • New Advanced Techniques for Reset Domain Crossing (RDC) Analysis
    • Continuous Integration (CI) Driving Efficient Program Execution
    • Questa Design Solutions as a Sleep Aid
    • Applying Machine Learning to Accelerate CDC Analysis
    • Formal and the Next Normal
    • Questa Lint vs Formal AutoCheck
    Simulation
    • Don't Let VHDL Debugging Slow You Down! Use Questa One Sim
    • Did You Know QuestaSim Supports VHDL-2019?
    • Improving Verification Productivity Using Questa One Sim
    • Accelerating Functional Coverage with Questa One CX
    • Tackling Emerging DFT Verification Challenges with Questa One
    • Faster Debug Using QuestaSim Interactive Coverage Analysis
    • Unlocking the Power of QuestaSim and Visualizer Integration
    • Productivity in the Questa Simulation Flow
    SystemVerilog
    • Introduction to SystemVerilog Assertions
    • Generating SVA Properties with Property Assist
    • Easy Test Writing with a Proxy-driven Testbench
    • Making Your DPI-C Interface a Fast River of Data
    • The Life of a SystemVerilog Variable
    Verification IP
    • Ultra Accelerator Link (UALink) Verification: A Deep Dive with Siemens Avery Verification IP
    • Breaking Barriers: Ethernet 1.6T, Infiniband, UALink, and UEC Verification for Next-Gen Connectivity
    • PCIe Gen7 Verification with Siemens Avery Verification IP
    • Verifying the Next Generation High Bandwidth Memory Controllers for AI and HPC Applications
    • The Future of Multi-Die System Verification with UCIe
    Verification IQ
    • Streamlining Requirements Traceability using Questa Verification IQ Testplan Author
    • Smart Debug: Accelerate Root Cause Analysis and Reduce Debug Turnaround Time with Questa Verification IQ Regression Navigator
    • Smart Regression: Optimize Regression Efficiency Using Questa Verification IQ Regression Navigator
    • Boost Your Verification Productivity with Questa Verification IQ
    • Questa Verification IQ: Boost Verification Predictability and Efficiency with Big Data
    Verification Planning
    • Solving the Semiconductor Verification Crisis: From Problem to Productivity
    • Functional Verification Study - 2022
    • The Three Pillars of Intent-Focused Insight
    • Trends in Functional Verification
    North America
    • User2User Mid-Atlantic 2025
    • On-Demand
    • General Session
    Europe
    • On-Demand
    • General Session
    • Afternoon Keynote
    Library Archive
    • On-Demand
    DVCon
    • DVCon US 2026
    • DVCon Japan 2025
    • DVCon Taiwan 2025
    • DVCon India 2025
    • DVCon Europe 2025

Breadcrumbs

  1. Home

Kevin Ham

Kevin Ham is an Applications Engineer at Siemens EDA, specializing in Verification IP products.

He graduated from the University of Houston in December 2020 and has been with Siemens since then.

As an AE, Kevin works with customers, supporting them through their projects from integration through tape-out, working with them to solve their verification challenges. He’s experienced with the Ethernet, PCIe, and AMBA protocols.

  • Content by Kevin Ham

    Filters
    • No content found.

  • Subject Matter Experts

    • Rich Edelman
    • Qazi Ahmed
    • Felipe Schneider
    • Rohit Jain
    • Prashant Dixit
    • Ray Salemi
    • Cliff Cummings
    • Nick Galvan
    • Lee Harrison
    • Vijay Chobisa
    • Vahid Naraghi
    • Tzi Yang Shao
    • Russell Klein
    • Dave Rich
    • Tom Fitzpatrick
    • Ram Narayan
    • Peet James
    • Kevin Urish
    • Fan Zhang
    • Nicolae Tusinschi
    • Dr. Ashish Darbari
    • Brian Craw
    • Adam Rose
    • Vladislav Palfy
    • Stephen Bailey
    • Ping Yeung
    • Reetika
    • Athira Panicker
    • Farhad Ahmed
    • Jin Zhang
    • Mathew Yee
    • Gordon Allan
    • Kevin Ham
    • Harmel Sangha
    • Joe Hupcey
    • Ujjwal Negi
    • Martin Rowe
    • Thomas Ellis
    • Ivan Ristic
    • Dan Yu
    • John Aynsley
    • Austin Mam
    • Sriram Hariharan
    • Jean-Marie Brunet
    • Gerardo Nahum
    • David Lidrbauch
    • Atul Sharma
    • Dominic Lucido
    • Sunil Sahoo
    • Shubhankar Deshmukh
    • Jim Lewis
    • Vigyan Singhal
    • Byran Ramirez
    • Matthew Ballance
    • Nicole Munson
    • Geir Eide
    • Shantanu Samant
    • Ashish Amonkar
    • Mariam Maurice
    • Rick Koster
    • Karim Ameziane
    • Anupam Bakshi
    • David Landoll
    • Tomasz Piekarz
    • Joseph Dailey
    • Moses Satyasekaran
    • Chris Giles
    • Arun Gogineni
    • Jason Polychronopoulos
    • Rich Powlowsky
    • Kai-hui Chang
    • Justin Royse
    • John Stickley
    • Byron Brinson
    • Munish Goyal
    • Jalaj Gupta
    • Rebecca Echegaray
    • Jake Wiltgen
    • Joon Hong
    • Luis Rodriguez
    • Rawan Morsy
    • Chris Spear
    • Ellie Burns
    • Sumit Vishwakarma
    • Charles Battikha
    • John Hallman
    • Dennis Brophy
    • Progyna Khondkar
    • Nirmala Balakrishnan
    • Ann Keffer
    • Pradeep Salla
    • Stephane Hauradou
    • Gaurav Goel
    • Nishtha
    • Erich Marschner
    • Mitchell Poplingher
    • Mark Carey
    • Jin Hou
    • Andreas Meyer
    • Larry Lapides
    • Kirolos Magdy
    • Abdelrahman Tharwat
    • Tom Kiley
    • Michael Horn
    • Mark Olen
    • Dr. Jonathan Graf
    • Jonathan Craft
    • Marc Schmitz
    • Abdul Moyeen
    • Justin Bunnell
    • Adam Erickson
    • Gordon Walker
    • Darron May
    • Chuck Seeley
    • Kurt Takara
    • Raghu Ardeishar
    • Abhi Kolpekwar
    • Dinesh Tyagi
    • Zhihong Zeng
    • Brian Mathewson
    • Niraj Mathur
    • Stephen Sunter
    • Doug Smith
    • Jyothy M Jaganathan
    • Didan Francis
    • Bob Oden
    • Keerthi Devraj
    • Dr. Jeremy Levitt
    • Avidan Efody
    • Srikanth Rengarajan
    • Kevin Campbell
    • Mike Horn
    • Kartik Raju
    • Dave Aerne
    • Walter Gude
    • Chris Browy
    • Ahmed Eisawy
    • Dr. Mike Bartley
    • Buu Huynh
    • Sanjay Gupta
    • Gabriel Chidolue
    • Graeme Jessiman
    • Vedant Garg
    • Kamlesh Mulchandani
    • Chris Crile
    • Hans Van Der Schoot
    • Mark Eslinger
    • Dharmendra Patel
    • Neil Johnson
    • David Torres
    • Mark Peryer
    • Vinayak Desai
    • Harry Foster
    • Akshay Sarup
    SIEMENS Siemens Digital Industries Software
    Portfolio
    • Cloud
    • Design, Manufacturing and PLM Software
    • Electronic Design Automation
    • Insights Hub
    • Mendix
    How to Buy
    • Buying with Siemens
    • Buy Online
    • Partners
    • Academics
    • Renewals
    • Refund Policy
    Siemens
    • About Us
    • Careers
    • Community
    • Events
    • Leadership
    • News and Press
    • Trust Center
    Contact
    • VA - Contact Us
    • HLS - Contact Us
    • PLM - Contact Us
    • EDA - Contact Us
    • Worldwide Offices
    • Support Center
    • Provide Feedback
    • Report Piracy

    © Siemens 2025

    • Terms of Use
    • Privacy Policy
    • Cookie Statement
    • DMCA
    • Whistleblowing