Search Results

Filters
Reset All

Filters

Topic

Show More

Show Less

Content Type

Show More

Show Less

Audience

Tags

Show More

Show Less

1682 Results

  • Osmosis Aerospace and Defense 2024

    Osmosis Aerospace and Defense (A&D) is about sharing the success in using formal techniques to address the demanding verification requirements and challenges of Trust and Assurance verification, Safety Critical Designs, and DO-254 compliant and other high-consequence systems.

  • Win the Tick to Trade Race by Root Causing Bugs Faster with QuestaSim

    In this webinar we will highlight the key innovations in QuestaSim that enable full debug visibility with significant reduction in simulation performance overhead and waveform database size.

  • Win the Tick to Trade Race by Root Causing Bugs Faster with QuestaSim

    Root causing RTL design or simulation testbench bugs can be tedious process, especially if just relying on traditional waveform viewing and debug. Also, it can be costly if more sophisticated debug ties up precious simulation resources during the debug process.

  • Lost in Code: Using Visualizer to Understand Someone’s UVM Testbench

    It's always challenging for Design-Verification engineers to take over the ongoing complex testbench work from another engineer who is no longer working on the given project. In this presentation, we walk through 5 concrete steps you can take to fully understand a “new to you” UVM testbench.

  • Lost in Code: Using Visualizer to Understand Someone’s UVM Testbench

    It's always challenging for Design-Verification engineers to take over the ongoing complex testbench work from another engineer who is no longer working on the given project. In this presentation, we walk through 5 concrete steps you can take to fully understand a “new to you” UVM testbench.

  • Why and How We Migrated from In-house Regression Management and Coverage flow to Verification IQ

    In this session we share how we worked with a customer to migrate from a sophisticated array of home-grown spreadsheets and scripts to process coverage analysis automation with Questa Verification IQ.

  • Why and How We Migrated from In-house Regression Management and Coverage flow to Verification IQ

    In this session we share how we worked with a customer to migrate from a sophisticated array of home-grown spreadsheets and scripts to process coverage analysis automation with Questa Verification IQ.

  • How and Why We Adopted Questa Core in the Development of Quantum Computers

    This session starts with the introduction of our quantum computers and their control system, presents the challenges of verifying designs of decent complexity with constantly-changing requirements, discusses advantages and disadvantages of using Vivado Simulator and Questa Core for verification, and touches on the challenges of integrating Vivado and Questa Core.

  • How and Why We Adopted Questa Core in the Development of Quantum Computers

    This session starts with the introduction of our quantum computers and their control system, presents the challenges of verifying designs of decent complexity with constantly-changing requirements, discusses advantages and disadvantages of using Vivado Simulator and Questa Core for verification, and touches on the challenges of integrating Vivado and Questa Core.

  • How We Use PCIe Verification IP Across Multiple Projects

    In this session we will discuss how Marvell delivers successful products and drives the market by with a structured design and verification methodology that reflects this philosophy. Specifically, we will dive deep into one of the most complex protocols: PCIe IP and the related testbench architecture; and how we adopted Siemens’ PCIe Avery Verification IP.

  • How We Use PCIe Verification IP Across Multiple Projects

    In this presentation we will discuss how Marvell delivers successful products and drives the market by with a structured design and verification methodology that reflects this philosophy. Specifically, we will dive deep into one of the most complex protocols: PCIe IP and the related testbench architecture; and how we adopted Siemens’ PCIe Avery Verification IP.

  • Customer Case Studies with AI/ML in Verification

    In this session we will share some results from our customer partnerships on how AI/ML is helping them with particular verification needs. The common thread: in order to apply advanced ML techniques to bring more value to verification, we show how to focus on building data assets that will put a verification team on the path to ML success.

  • Customer Case Studies with AI/ML in Verification

    In this session we will share some results from our customer partnerships on how AI/ML is helping them with particular verification needs. The common thread: in order to apply advanced ML techniques to bring more value to verification, we show how to focus on building data assets that will put a verification team on the path to ML success.

  • The Importance of Simulation in the Pursuit of Absolute Speed!

    I recently had an opportunity to talk to someone who worked in the high-frequency trading (HFT) sector a few years ago. I wanted to know how they performed their functional simulations. If you know anything about HFT or low-latency trading, you know that those engineers are very secretive and don’t divulge a lot of details about what their FPGA design-verification process entails.

  • Questa Check Connect Fact Sheet

    Questa Check Connect offers a distinct approach for verifying interconnect integrity within designs. It facilitates scalable verification for extra-large System-on-Chips (SoCs) through automated and exhaustive formal analysis. The solution is designed for easy deployment, delivering unique capabilities for conclusive results in complex connectivity challenges that surpass traditional capacity limits.

  • Questa Check Register Fact Sheet

    Questa Check Register guarantees the alignment of register implementations with specifications. It seamlessly reads RTL descriptions and register specifications from either IP-XACT or a custom format, then generates assertions for verifying memory-mapped registers. It promptly detects all RTL related functional concerns tied to registers. Additionally, it offers effortless monitoring of progress through the Questa verification management tool.

  • Reduce Gate-level Simulation Bring-up Time with Semi-formal X Verification

    Gate-level simulations (GLS) are a crucial step in the verification of an ASIC/FPGA. GLS is used for verifying power-up, reset operation, timing, multi-cycle paths, and power estimation. However, GLS can be a bottleneck in the project cycle due to its complexity.

  • Reduce Gate-level Simulation Bring-up Time with Semi-formal X Verification

    This session will describe a reliable formal-based method to manage Xs in GLS. It centers on the use of Siemens Avery SimXACT solution alongside your preferred simulator.

  • In Memoriam: Chris Spear

    Our friend and colleague Chris Spear passed away suddenly. He was a long-time veteran of our industry and was known and respected by many.

  • New Advanced Techniques for Reset Domain Crossing (RDC) Analysis

    In this session, you will learn new RDC, methodology, and automation techniques including; how to hierarchically characterize and structure reset (and clock) domain models for rapid analysis and re-use of IP-level RDC information as the design grows, waiver management flows, creating custom synchronizers and considerations for low power designs with UPF.

  • New Advanced Techniques for Reset Domain Crossing (RDC) Analysis

    In this session, you will learn new RDC, methodology, and automation techniques including; how to hierarchically characterize and structure reset (and clock) domain models for rapid analysis and re-use of IP-level RDC information as the design grows, waiver management flows, creating custom synchronizers and considerations for low power designs with UPF.

  • Get your free copy of the IEEE 1800-2023 SystemVerilog LRM

    At last year’s Design & Verification Conference (DVCon), I presented a few changes to the upcoming revision to the SystemVerilog standard. After a year of two rounds of balloting, the final revision is being published. The great news is many of these “new” features are already available in existing tools, or being worked on.

  • Enhancing PCIe Verification: How to Step Off the Map

    This article intends to propose a range of strategies that an RC might use to ‘generate’ addresses that fall outside the standard scope, along with a detailed examination of the subtle complexities involved. Initially, we will explore two relatively simple methods to accomplish this. Following that, we will analyze the limitations inherent in these methods.

  • Tool Assisted Debug in Visualizer

    Verification is one of the primary challenges in current times when designs are huge, and corresponding testbenches are equally large. Both the design and testbench work together to fulfill the desired expectations of the designer. Everything goes well until the simulation does not work as expected. This is where Visualizer, the debug platform, plays a crucial role in figuring out where the problem lies. Visualizer requires multiple databases to provide the debug features.

  • Formal Verification: An Introduction and Exploration of Challenges

    Nowadays, the formal verification is getting more popular compared to the conventional simulation-based verification. The main idea behind the formal verification is to mathematically prove or disprove the correctness of a design with respect to the specification or requirement and ensure that the design behaves as intended under all possible scenarios. To achieve this, the formal verification tools are using Satisfiability Modulo Theories (SMT) solver engines.