Upcoming RDC Assist Webinar

Questa RDC Assist – Improving designer productivity and enabling faster RDC verification closure with machine learning

Wednesday, May 22nd | 8:00 AM US/Pacific

Learn more and register.

Search Results

Filters
Reset All

Filters

Topic

Content Type

Audience

Tags

Show More

Show Less

60 Results

  • UART Example (.tgz)

    Most of the functional coverage can be derived from content of the registers which are used to control and monitor the behavior of the device. The register interface may also serve the data path. There may be scope for using assertions on signal interfaces.

  • Specification to Test Plan

    The goal in creating a coverage model spreadsheet or testplan is to capture a subset of the design intent and behavior that is targeted for functional coverage.

  • UART Example Test Plan

    As part of the verification planning process, a test plan should be drawn up to list all the design features to be tested and to help identify the type of functional coverage required to check that all the tests have been run for all conditions.

  • Executable Test Plan Format

    A testplan is a document which captures the important features of a design and how they will be verified.

  • Cookbook Code Examples

    The UVM Cookbook has a number of UVM code examples which are designed to help illustrate the various topics discussed. The link to each example also appears on the appropriate cookbook page.

  • UART Reference

    The Siemens EDA UART is a soft design IP core. It has been designed to be generally compatible with the industry standard 16550A UART, but there are a small number of differences.

  • Block Level Functional Coverage Example

    Block level functional verification can take full advantage of the the fact that all the block interfaces are exposed and can be stimulated separately with complete freedom.

  • Testplan to Functional Coverage

    Arriving at functional coverage closure is a process that starts with the functional specification for the design, which is analyzed to determine: What features need to be tested Under what conditions the features need to be tested What testbench infrastructure is required to drive and monitor the design's interfaces How the testbench will check that the features work

  • Bus Protocol Coverage

    A bus protocol defines how data is transferred between master and slave devices on the bus. The protocol specifies which signals are used to qualify when the master is making a request and when the slave is ready to respond to that request.

  • UART Example Covergroups

    The covergroup examples on this page are implementations of the functional coverage descriptions on the Block Level Functional Coverage Example page.

  • Requirements Writing Guidelines

    When creating a testplan , requirements to have a successful chip need to recorded in a useful, easy to digest manner. The following rules and guidelines will help to ensure this happens.

  • Functional Coverage Metrics

    The objective of functional verification is to determine if the design requirements, as defined in our specification, are functioning as intended. But how do you know if all the specified functionality was actually implemented?

  • Datapath Example

    What is a Datapath Block? A datapath block takes an input data stream and implements a transform function that generates the output data.

  • BiQuad IIR Filter Test Plan

    As part of the verification planning process a functional coverage/test plan should be created. In the case of the BiQuad IIR filter, the primary concern is to check that it can be configured to act as a low, high and band pass filter in the audio frequency range and that it functions correctly in each of these modes.

  • Kinds of Coverage

    No single metric is sufficient at completely characterizing the verification process. For example, we might achieve 100% code coverage during our simulation regressions. However, this would not mean that 100% of the functionality was verified.

  • BiQuad IIR Filter Example Covergroups

    The functional coverage model for the BiQuad IIR filter is based on ensuring that all variations of 3 sets of variables have been checked by the stimulus: The filter type - Low Pass, High Pass and Band Pass The filter specification, in terms of the coefficients - b10, b11, b12, a10, a11 The input frequency, measured in hertz

  • Wishbone SoC Testplan

    Wishbone SoC testplan spreadsheet example (.xml)

  • Code Coverage Metrics

    In this section, we introduce various coverage metrics associated with a design model's implicit implementation coverage space. In general, these metrics are referred to as code coverage or structural coverage metrics.

  • APB3 Protocol Test Plan

    During the verification planning process a test plan should be created that lists the features of a design, how they are to be verified and how test closure will be achieved.

  • BiQuad Example (.tgz)

    In this class of design, the stimulus pumps data through the design datapath and compares the output against a reference model. The functional coverage is primarily about ensuring that the algorithm 'knobs' have been tested sufficiently.

  • Coverage

    The Coverage Cookbook describes the different types of coverage that are available to keep track of the progress of the verification process, how to create a functional coverage model from a specification and provides examples of how to implement functional coverage for different types of designs.

  • Coverage Cookbook

    The Coverage Cookbook describes the different types of coverage that are available to keep track of the progress of the verification process, how to create a functional coverage model from a specification and provides examples of how to implement functional coverage for different types of designs.

  • APB Protocol Monitor (.tgz)

    In this style of design there are timing relationships between different signals which need to be checked and seen to work.

  • What is Coverage

    As the saying goes, "What doesn't get measured might not get done." And that is certainly true when trying to determine a design project's verification progress, or trying to answer the question "Are we done?"

  • Wishbone SoC Testplan

    Wishbone SoC testplan spreadsheet example (.zip)