I am new to UVM and i am trying to use the uvm_object_utils macro in a class for a sequence item.
Before using this macro included the uvm_macros.svh header file and imported the uvm_pkg like so:
import uvm_pkg::*;
`include “uvm_macros.svh”
I am using the uvm_object_utils macro in my code like so:
`uvm_object_utils(uvm_csv_packet_item)
when i go to compile this file with the following commands (i am trying with and without the +incdir+$UVM_HOME)
vlog +incdir+$UVM_HOME/src uvm_csv_seq_item.sv
or
vlog uvm_csv_seq_item.sv
i get the message:
** Error: (vlog-13069) ** while parsing macro expansion: ‘uvm_object_utils’ starting at uvm_csv_seq_item.sv(17)
** at uvm_csv_seq_item.sv(17): near “typedef”: syntax error, unexpected “SystemVerilog keyword ‘typedef’”, expecting implements or ‘;’.
i am trying to interpret this message…
It seems to be telling me that the macro uvm_object_utils contains a "unexpected “SystemVerilog keyword ‘typedef’…”
Why is my compiler confused?