In reply to chr_sue:
Hi ,
I am trying to override the class monitor in test:
class test_overide extends uvm_test;
`uvm_component_utils(test_overide)
axi_env m_env;
seq_stimulus m_seq;
function new(string name,uvm_component parent);
super.new(name,parent);
endfunction
function void build_phase(uvm_phase phase);
`uvm_info(“test1 build phase”,“”,UVM_LOW);
set_type_override_by_type(axi_monitor::get_type(),override_monitor::get_type());
m_env = axi_env::type_id::create(“m_env”,this);
endfunction
task run_phase(uvm_phase phase);
phase.raise_objection(this,get_type_name());
`uvm_info(get_type_name,“start test”,UVM_LOW)
m_seq = seq_stimulus::type_id::create(“m_seq”);
if(!m_seq.randomize())
`uvm_error(get_type_name(), “RAndomize failed”)
m_seq.start(m_env.m_axi_agent.m_seqr);
phase.drop_objection(this,get_type_name());
endtask
endclass
getting same error:
Error-[NOA] Null object access
/classes_v/axi_agent.sv, 44
The object at dereference depth 2 is being used before it was
constructed/allocated.
Please make sure that the object is allocated before using it.
#0 in \axi_agent::connect_phase at