SVA to check whether a signal is stable between 2 events

In reply to ben@SystemVerilog.us:
Ben, the code you have give:
$fell(reset) |-> $stable(xyz) within $rose(enable)[->1];
It is not working, I can see the waveform of the signal xyz changing, but the assertion is not catching it. Is there anyother way?