SVA to check whether a signal is stable between 2 events

In reply to pavan_krishna:

I updated code above to
$fell(reset) |-> $stable(xyz) within $rose(enable)[->1];

Syntax error in your code.
You meant:

$stable(xyz) within (fell(ares) ##[1:] $rose(enable))
Xyz is stable anytime within the fell of ares and rose of enable.