In reply to nsiddams:
I want to check whether a signal name xyz is stable between the fall of signal ‘reset’ and the rise of signal ‘enable’.
I have tried something like this
property stable_check(xyz);
@(posedge clk) disable iff (enable)
$stable(xyz) within ($fell(ares) ##[1,*] $rose(enable)) ;
endproperty
Please let me know whether this is correct or not.
If not let me know the correct answer.
can you explain this line $stable(xyz) within ($fell(ares) ##[1,*] $rose(enable)); what mean * ?