SVA to check whether a signal is stable between 2 events

In reply to nsiddams:
xyz is stable between the fall of signal ‘reset’ and the rise of signal ‘enable’.


// from fall of reset, XYZ is stable continuously  until and with rose of enable
property stable_check(xyz);
   @(posedge clk) // NO on "disable iff (enable):
   $fell(reset) |-> $stable(xyz) s_until_with $rose(enable);
endproperty



// from fall of reset, XYZ is stable at any time  until, but not 
// necessarily with rose of enable
property stable_check(xyz);
   @(posedge clk) // NO on "disable iff (enable):
   $fell(reset) |-> $stable(xyz) within $rose(enable)[->1];
endproperty

Ben Cohen
Ben@systemverilog.us
Link to the list of papers and books that I wrote, many are now donated.
http://systemverilog.us/vf/Cohen_Links_to_papers_books.pdf