In reply to chr_sue:
I see that UVM Report Summary gets printed if I use UVM_LOW or UVM_MEDIUM or UVM_HIGH or UVM_DEBUG as verbosity, but doesn’t get printed when verbosity kept as UVM_NONE.
I think it is expected as in UVM1.2 the report_summarize function has following `uvm_info with UVM_LOW verbosity in uvm_report_server.svh
847 `uvm_info("UVM/REPORT/SERVER",`UVM_STRING_QUEUE_STREAMING_PACK(q),UVM_LOW)
I am finding ways to make this `uvm_info verbosity to UVM_NONE
I also tried using custom report catcher extending from uvm_report_catcher but still the summary print is not happening
Here’s the code:-
class my_error_promoted extends uvm_report_catcher;
function new(string name="my_error_promoted");
super.new(name);
endfunction
function action_e catch();
if(get_severity() == UVM_LOW && get_id() == "UVM/REPORT/SERVER")
set_severity(UVM_NONE);
return THROW;
endfunction
endclass : my_error_promoted
class base_test extends uvm_test;
my_error_promoted my_error_promoted_h;
my_error_promoted_h = new();
/*
Some more code
*/
task run_phase(uvm_phase phase);
uvm_report_cb::add(null, my_error_promoted_h);
/*
Some more code
*/
endtask: run_phase
endclass : base_test