In reply to bcassell:
You are so close! Since report_summarize() has:
`uvm_info("UVM/REPORT/SERVER",`UVM_STRING_QUEUE_STREAMING_PACK(q),UVM_LOW)
You need to raise the verbosity of that ID to UVM_LOW with:
uvm_root::get().set_report_id_verbosity_hier("UVM/REPORT/CATCHER", UVM_LOW);
Below is the full testcase that prints the UVM Report Summary in UVM 1.2 with +UVM_VERBOSITY=UVM_NONE
module t;
import uvm_pkg::*;
`include "uvm_macros.svh"
class test extends uvm_test;
`uvm_component_utils(test);
function new(string name, uvm_component parent);
super.new(name, parent);
endfunction
task run_phase(uvm_phase phase);
uvm_root::get().set_report_id_verbosity_hier("UVM/REPORT/SERVER", UVM_LOW);
`uvm_info("TEST", "UVM_LOW", UVM_LOW)
`uvm_info("TEST", "UVM_NONE", UVM_NONE)
endtask
endclass
initial run_test("test");
endmodule