Uvm sequence stop isn't working

I’m trying to add logic to existing code to stop sequence. However, I still keep getting the following message:
“[SEQ_NOT_DONE] Sequence my_seq already started”

Can you guys help why sequence isn’t getting stopped?


class my_seq extends uvm_sequence;
    `uvm_object_utils(my_seq)
    `uvm_declare_p_sequencer(uvm_sequencer)
endclass

class my_test extends uvm_base_test;
   my_seq  m_seq;
   virtual task main_phase(uvm_phase phase);
      m_seq.start(null);
   endtask

   virtual task pre_reset_phase(uvm_phase phase);
       m_seq.p_sequencer.stop_sequences();
   endtask

endclass

In reply to kokahemant:

Are you sure your sequence is already running in the pre_reset_phase?
BTW you should not use the sub_phases of the run_phase.

In reply to chr_sue:

I’m using sub_phases to jump the phase. I’m sorry I missed giving additional details.
I’m trying to ump phase and I get this error when the phase_jump is done. During the initial pre_reset_phase, I don’t get this message.

In reply to kokahemant:

Can you post your code here and the Error message you are getting?