I’m trying to add logic to existing code to stop sequence. However, I still keep getting the following message:
“[SEQ_NOT_DONE] Sequence my_seq already started”
Can you guys help why sequence isn’t getting stopped?
class my_seq extends uvm_sequence;
`uvm_object_utils(my_seq)
`uvm_declare_p_sequencer(uvm_sequencer)
endclass
class my_test extends uvm_base_test;
my_seq m_seq;
virtual task main_phase(uvm_phase phase);
m_seq.start(null);
endtask
virtual task pre_reset_phase(uvm_phase phase);
m_seq.p_sequencer.stop_sequences();
endtask
endclass