Assertions in Interface

Hi,

As per the UVM standards ,concurrent assertions cannot be implemented in Class based objects.

How to implement concurrent assertions in Interface can anybody explain with example?

~Taahir

In reply to syed taahir ahmed:

Correct; interfaces are are static, thus allow concurrent assertions. There are tons of examples if you just google it.

In reply to bmorris:

In reply to syed taahir ahmed:
Correct; interfaces are are static, thus allow concurrent assertions. There are tons of examples if you just google it.

i did googled it,not found any proper full fledged example,can you please point me the link?

~Tashir

In reply to syed taahir ahmed:

http://lmgtfy.com/?q=example+of+assertion+inside+systemverilog+interface

In reply to dave_59:

Thanks Dave,able to implement assertions in interface.