Waveform for this assertion

property
@(posedge clk)
$fell (irdyn) ##[0:5] !(devseln) ##0 stopn → (! irdyn) [*0:16] ##0 !trdyn;
endproperty

waveform for this assertion and explanation
And i am getting confused of (!) using inside the brackets and outside the brackets
Thanks in advance

1 Like

In reply to Appubk@2001:
Interestingly, you got a waveform out of this assertion that has an incorrect syntax.
What are your requirements?
Where is your code and TB?

In reply to ben@SystemVerilog.us:

It is just a theory question asked in interview to draw the waveform for this assertion

In reply to Appubk@2001:

Maybe worth asking ChatGPT to explain to you and read further to clarify. Nothing beats running the code at your end and looking at waves.

Good luck

In reply to Srini @ CVCblr.com:

Thank you


This is a test