VHDL entity with the UVM environment

How to interface a VHDL entity with the UVM environment? If possible please mention an example, How to port a RECORD type in VHDL?

This will depend on your simulator. If you are using Questa, see the User Manual section SystemVerilog Instantiating VHDL and the section Sharing User Defined Types.

In reply to dave_59:

I’m using VCSMX…