In reply to chr_sue:
//============================================================================
// CONFIDENTIAL and Copyright (C) 2010 Test and Verification Solutions Ltd
//============================================================================
// Contents : File for uvm_sd_tbtop.svh
//
// Brief description : Top file which runs the whole Environment
//
// Known exceptions to rules :
//
//============================================================================
// Author :
// Created on :
// File Id : uvm_sd_tbtop.sv
//============================================================================
ifndef UVM_SD_TBTOP_SV
define UVM_SD_TBTOP_SV
module tb_top();
bit clk=1;
bit rst;
//clock generation
always #2 clk = ~clk;
//reset generation
initial
begin
rst=1;
#5 rst=0;
end
//Interface instance
intf vif(clk,rst);
//DUT instance
fsm1 DUT(.clk(vif.clk),
.rst(vif.rst),
.in(vif.in),
.out(vif.out),
.state(vif.state));
//Setting configuration database
initial
begin
uvm_config_db#(virtual intf)::set(uvm_root::get(),“*”,“vif”,vif);
$dumpfile(“dump.vcd”);
$dumpvars;
end
initial
begin
run_test(“test”);
end
endmodule : tb_top
`endif
//COMPILE LIST
`include “uvm_macros.svh”
import uvm_pkg::*;
include "fsm1.sv"
include “uvm_sd_interface.sv”
include "uvm_sd_seq_item.sv"
include “uvm_sd_sequence.sv”
include "uvm_sd_sequencer.sv"
include “uvm_sd_driver.sv”
include "uvm_sd_monitor.sv"
include “uvm_sd_agent_config.sv”
include "uvm_sd_agent.sv"
include “uvm_sd_scoreboard.sv”
include "uvm_sd_env_config.sv"
include “uvm_sd_environment.sv”
include "uvm_sd_test.sv"
include “uvm_sd_tbtop.sv”
these are those files