In reply to chr_sue:
class environment extends uvm_env;
`uvm_component_utils(environment)
simple_agent simple_ag;
function void build_phase(uvm_phase phase);
super.build_phase(phase);
simple_ag = simple_agent::type_id::create(.name(“simple_ag”),.parent(this));
endfunction: build_phase
I tried removing the create of simple_seq object during build_phase but it gives me null object error.
Is there any thing wrong with the way i am calling the sequence.
I put displays in each step, but it looks like the driver is not coming out of get_seq_item.