UVM_ERROR

In reply to Prawin kumar:

I strongly recommend to watch the videos from the UVM Courses!
You do not understand the TLM - Transaction Level Modelling. But this is key for the UVM.

Your run_phase Task should look like this:

task run_phase(uvm_phase phase);
sequence_item transaction;
transaction = sequence_item::type_id::create("transaction");
forever begin
  @ (vif.clk);
  transaction.in_a = vif.int_a;
  transaction.in_b = vif.int_b;

  item_collected_port.write(transaction);
  `uvm_info("AC_LPC_MONITOR", $psprintf("Wrote transaction %s",transaction.convert2string()), UVM_LOW);
  end
endtask:run_phase