In reply to chr_sue:
task run_phase(uvm_phase phase);
logic [2:0]in_a;
logic [2:0]in_b;
sequence_item transaction;
transaction = sequence_item::type_id::create(“transaction”);
in_a = vif.int_a;
in_b = vif.int_b;
@ (vif.clk);
item_collected_port.write(transaction);
`uvm_info("AC_LPC_MONITOR", $psprintf("Wrote transaction %s",transaction.convert2string()), UVM_LOW);
endtask:run_phase
Am coded like this, i had take in_a,in_b type of logic from the vif int_a and int_b trasaction to in_a,in_b.
even though scoreboard not compare this values.