UVM_ERROR

In reply to chr_sue:

Hi,

Above code is wrong, because of in my ‘env’ i made the connections of scoreboard only, so no need to create ‘sequencer’ ok.

function void build_phase(uvm_phase phase);
agent = ac_lpc_agent::type_id::create(“agent”,this);
scoreboard =ac_lpc_scoreboard::type_id::create(“scoreboard”,this);
driver =ac_lpc_driver::type_id::create(“driver”,this);
monitor =ac_lpc_monitor::type_id::create(“monitor”,this);
//sequencer =ac_lpc_sequencer::type_id::create(“sequencer”,this);

if (!uvm_config_db#(virtual ac_lpc_if)::get(this, "", "vif", vif)) begin
  `uvm_fatal("ac_lpc_env", "No virtual interface specified for this env instance")
 end
uvm_config_db#(virtual ac_lpc_if)::set( this, "agent", "vif", vif);

endfunction

function void connect_phase(uvm_phase phase);
driver.drv_to_sb.connect(scoreboard.input_fifo.analysis_export);
monitor.item_collected_port.connect(scoreboard.output_fifo.analysis_export);
endfunction
endclass: ac_lpc_env