Hi All,
I’m new to Questa flow and i’m using 10.6c version. I’ve the part of my UVM environment code below;
I’ve define file for example…
common_defines.svh which has
`define WIDTH 32
I’ve common_pkg.sv as below;
package common_pkg;
import uvm_pkg::*;
`include "uvm_macros.svh"
`include "common_defines.svh"
endpackage
I’ve Agent Package as below;
package agent_pkg;
import uvm_pkg::*;
import common_pkg::*;
`include "uvm_macros.svh"
`include "agent_item.sv"
`include "agent_sequencer.sv"
`include "agent_driver.sv"
`include "agent_monitor.sv"
`include "agent_hi.sv"
endpackage
I’ve compile.f file as below;
../../../common/common_pkg.sv
../../../agents/agent_hi/agent_pkg.sv
../../../agents/agent_hi/hi_if.sv
+incdir+../../../common
+incdir+../../../agents/agent_hi
After compiling the Questa tool issue an Error as below;
** Error: ** while parsing file included at …/…/…/agents/agent_hi/agent_pkg.sv(19)
** at …/…/…/agents/agent_hi/agent_driver.sv(51): (vlog-2163) Macro `WIDTH is undefined
Note: My setup has already running with competitor tools.
Please suggest on how to overcome the above issue.
Thanks,
regards,
mahesh.