TYCMPAT error for UVM config db get method

Hello

In my TB setup for RAL . I have created a class object ral_env_cfg which has instance of reg model and set it in test and then get method in env and get in sequence . It doesn’t throw any error in env but in seq it throws an error as shown

xmvlog: *E,TYCMPAT formal and actual do not have assignment compatible data types (expecting datatype compatible with ‘class uvm_pkg::uvm_component’ but found 'class $unit::cdv_cdns

config db
uvm_config_db #(ral_env_cfg)::set(this,“*”,“ral_env_cfg”,ral_cfg);

if(!uvm_config_db#(ral_env_cfg)::get(this,“”,“ral_env_cfg”,ral_cfg))
uvm_fatal("config","cannot get() ral_env_cfg. Have you set it ?") else uvm_info(“CONFIG WORKING”,1,UVM_LOW)

I don’t understand what is causing this error. Should I set it again in env ?

In reply to verific_engi:

See Config/ConfiguringSequences | Verification Academy