SV property - $fell

property foo (clk_in, **stop**, baz, some_signal, fie, another_signal);
  @(posedge clk_in) disable iff (!AssertOn || !Resetn || some_signal || another_signal || !PwrOk || **stop**)
  $fell(**stop**) |=> ##8 $stable(baz) && ($past(fie,2) == 0);
endproperty

I want $fell(stop) to be a 1 to 0 transition and not an “x” to 0 transition.
How can I do this?
Thanks!

Use the $isunknown

! $isunknown(stop) && $fell(stop) |=>.. 
// stop              X. X. 0. 0. 1
// antecedent  0. 0. 0. 0. 1