Regarding Access rights

Hi ,

Assume i have register Reg_A[31:0] which contains 3 fields Filed_A[7:0] Field_B[15:8] , Filed_C[23:16] and Field_D[31:24].
Field_A,Field_B, Field_C are configure with access_rights = “RO” type, while Filed_D is configured with “RESERVED”. In the reg_block while i am adding Reg_A_inst (using add_reg function), It can be added with access_rights = “RW” ? What would be side-effects if i do so ?

Thanks
Saravanan

In reply to saravanan_kpk:

For simple Write-read operation will not cause any issue, but if you take predicted value from ral model in some scenarios using get_mirrored_value()/get() methods, then there may chance that it will return unexpected data compare to design register.