New function instead of create method

Hi,I had a question regarding uvm testbench construction.
So instead of using create method in uvm if we use new function to construct the component in uvm will that specific component be included in the tb construction and simulation?

thanks in advance
Shiv

Yes. If you use the new() function instead of create(), the component will be part of your UVM testbench hierarchy. However, you lose all of the factory benefits when doing this, which is why using the create() method is strongly recommended.

hi @cgales ,
Thanks for the reply.I also wanted to ask whether it would be included in the simulation as well?

Calling create() is just a wrapper that eventually calls new(). If you do not provide any factory overrides, create() behaves exactly the same as new(). The component has no knowledge of which method you used to construct it.

If that does not answer your question, you need to explain why you think there would be a difference .