Large memory footprint assertion

Hi,

I have a assertion some thing like below:
property READY;
@(posedge CLK)
(VALID & !READY )|-> ##[1:$] (!VALID | READY));
endproperty
ready: assert property (READY);
When i use above assertion it was giving the below warning:

Warning-[SVA-OPTCOV-LMFA] Large memory footprint assertion
The assertion ‘ready’ has the potential to consume a large amount of memory.
Please examine the assertion for the use of large or unbounded delays and repetitions.

How to get rid of this warning?

Thanks,
Rajasekhar

In reply to sekhar129:

  • Without a $rose you create at every clock edge a successful attempt and thus a new thread.
  • Use logical operators when dealing with Booleans.
  • Assertion looks OK.
 
$rose(VALID && !READY )|-> 
            strong(##[1:$] (!VALID || READY)));

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr
** SVA Handbook 4th Edition, 2016 ISBN 978-1518681448

  1. SVA Package: Dynamic and range delays and repeats SVA: Package for dynamic and range delays and repeats | Verification Academy
  2. Free books: Component Design by Example FREE BOOK: Component Design by Example … A Step-by-Step Process Using VHDL with UART as Vehicle | Verification Academy
    Real Chip Design and Verification Using Verilog and VHDL($3) Amazon.com
  3. Papers: