IN UVM RAL Adapter class, why do we use const ref for uvm_bus_reg_op in reg2bus and only ref for uvm_bus_reg_op in bus2reg?

IN UVM RAL Adapter class,
why do we use const ref for uvm_bus_reg_op in reg2bus and only ref for uvm_bus_reg_op in bus2reg ?

In reply to smrdh3:

The authors of the this part of the UVM have a frequent misunderstanding about how method arguments in SystemVerilog work with class variables, especially when coming from C/C++. There is no need to use ref arguments here. Class handles are already references to class objects.

Instead of const ref, they should have used input and instead of ref, they should have used output.