In reply to chr_sue:
Thank you for replying.
This issue is resolved, after adding below code to uvm test
task delay_to_end(uvm_phase phase);
#1;
`uvm_info(get_name(),$sformatf(“Now to end %s…”,phase.get_name()),UVM_LOW)
phase.drop_objection(this);
endtask
function void phase_ready_to_end(uvm_phase phase);
if(phase.get_name == "main")begin
`uvm_info(get_name(),"Not yet to end...",UVM_LOW)
phase.raise_objection(this);
fork
begin
#1;
this.delay_to_end(phase);
end
join_none
end
-Thank you