How to pass clock to agent if interface does not have it

Hi,
We just started the using interface for RTL. The designers have created the interface files and DV guys are using that.
These interfaces don’t have clocks. Nor in DV we use m_vif.tb_clk to drive and monitor dut. We have nested interfaces and all of them are arrays. It is making it difficult to add one more layer.

Is it possible to pass a clock to agent without declaring it in interface ? We don’t want RTL guys to update the interfaces just for DV. I can create a wrapper on the interface but it is not working for multiple nested arrayed interfaces.

Thanks a bunch !

In reply to bvyas:

Why does the DV need a clock to operate on an interface, but the RTL does not? It seems like the RTL did not code up the interface correctly. Typically you use the port of an interface to represent shared signals, like clocks and resets.

Otherwise, you many want to code up an interface that contains all the global signals.

In reply to dave_59:

Thanks Dave !