Hi,
Can you please provide an example for coverage collection in UVM
I tried the following scratch code in uvm_subscriber , but I am getting an error that I cannot understand
class fsm_subscriber extends uvm_subscriber #(fsm_seq_item);
`uvm_component_utils(fsm_subscriber);
fsm_seq_item item2;
covergroup cgrp;
coverpoint fsm_seq_item.op_a {
bins allowed = {[0:9]};
}
endgroup // cgrp
function new(string name, uvm_component parent);
super.new(name, parent);
endfunction: new
virtual function void write (fsm_seq_item t);
cgrp.sample();
item2 = t;
$display("UVM subscriber %0h write function",item2.op_a);
endfunction
endclass
Error :
Illegal to access non-static property ‘op_a’ outside its class scope