How many ways to start sequence?

In sequence we can give start at below mentioned ways :

→ seq.strat(m_sequencer,this)
→ seq.start_item() , seq.done_item()
Is there any more ways ?

what’s difference between them ?

In reply to Niyati:

Is there any more ways ?

You can also start your sequence by assigning it as default_sequence in your sequencer.

Hi,
Below is one of the way to start the sequence

uvm_config_wrapper::set(this,“env_h.agent.sequencer.run_phase”,“default_sequence”,my_demo_seq::type_id::get());

In reply to ram_88:
There are 2 ways to start a sequence, automatically and manually (recommended).
Using the default sequence is starting the sequence automatically.

In reply to chr_sue:

Thanks for giving completeness to this discussion .

Hi,
Whats the difference between uvm_config_db and uvm_config _wrapper

Thanks

In reply to shobhana.swarnkar18:

I think that was a typo,

Thanks