Hi ..!

Hi …!
any one can you tell me that in copy method they are using uvm_object instead of uvm_sequence_item.

Thanks in advance

In reply to Bhimappa A Mali:

Hello,

Please get below mentioned details of copy method from “uvm_object.svh” file.

// The copy makes this object a copy of the specified object.

// The ~copy~ method is not virtual and should not be overloaded in derived
// classes. To copy the fields of a derived class, that class should override
// the <do_copy> method.

extern function void copy (uvm_object rhs);

Hope this has answered your question !