Having a sequence library of virtual Sequences

I am trying to create a UVM sequence library and add virtual sequences to it .
I am getting the following error

[SEQLIB/VIRT_SEQ] Sequence library ‘m_sequencer’ handle is null; no current support for running as a virtual sequence.

Is it not possible for having a sequence library with virtual sequences?

Thanks
Venkatesh

In reply to Venkatesh M S:

No need to use sequence libraries.

https://verificationacademy.com/forums/uvm/can-we-use-sequence-libraries-sequences-running-different-sequencers#reply-110964