Can we use sequence libraries for sequences running on different sequencers?

Can we add sequences running on different sequencers in the sequence library ?

In reply to dsherlek:

A uvm_sequence_library runs on a single sequencer. You can add a sequence to multiple sequence libraries.

It is easy to build your own sequence library out using a simple array. See Generic sequence call on different sequencers | Verification Academy. This avoids having to hard code any sequence to a particular sequencer.

In reply to dave_59:

Does that mean that the UVM sequence library doesnt support running Sequences on different Sequencers ? And the user has to create an array mechanism to create a sequence library of their own ?

In reply to dsherlek:

correct.