Hi all,
I wonder if any of you has been able to get Mentor’s Modelsim PE Student version (10.4a under Windows) working with even the most basic UVM code
I am following instructions provided at following post suggested a couple of times in this forum, with no success. I have also read many other related topics on this forums with no success either.
https://verificationacademy.com/cookbook/questa/compilinguvm
As far as I know the student version should work as long as basic UVM (no coverage, assertions, randomization) is used. Elaboration seems to be ok however as soon as the “run” command is issue to the simulator, it returns following errors
Now, I know this error is to do with the DPI library. There’s a macro that some people claim it works, “+define+UVM_NO_DPI”. This one gets rids of the some warnings at elaboration time, however the final error is still the same.
How to replicate this issue:
- Create a test.sv file like this
import uvm_pkg::*;
module test;
endmodule
- Elaborate
vlog +define+UVM_NO_DPI test.sv
Model Technology ModelSim PE Student Edition vlog 10.4a Compiler 2015.03 Apr 7 2015
Start time: 11:39:50 on Jul 13,2018
vlog -reportprogress 300 “+define+UVM_NO_DPI” test.sv
– Compiling package test_sv_unit
– Importing package mtiUvm.uvm_pkg (uvm-1.1d Built-in)
– Compiling module test
Top level modules:
test
End time: 11:39:51 on Jul 13,2018, Elapsed time: 0:00:01
Errors: 0, Warnings: 0
One thing to note here is that UVM 1.1d is being used. I tried the tool to use the new 1.2 as directed on the UVM cookbook link shown above with no success. I would not think this is the issue however, as UVM 1.1d should work the same for what I am doing.
- Simulate
vsim work.test_sv_unit
vsim
Start time: 11:41:05 on Jul 13,2018
Loading sv_std.std
Loading mtiUvm.uvm_pkg
Loading work.test_sv_unit
Loading mtiUvm.questa_uvm_pkg
** Warning: (vsim-3770) Failed to find user specified function ‘uvm_hdl_check_path’ in DPI C/C++ source files.
Time: 0 ns Iteration: 0 Region: /uvm_pkg File: C:/Modeltech_pe_edu_10.4a/win32pe_edu/…/verilog_src/uvm-1.1d/src/uvm_pkg.sv
** Warning: (vsim-3770) Failed to find user specified function ‘uvm_hdl_deposit’ in DPI C/C++ source files.
Time: 0 ns Iteration: 0 Region: /uvm_pkg File: C:/Modeltech_pe_edu_10.4a/win32pe_edu/…/verilog_src/uvm-1.1d/src/uvm_pkg.sv
(AND MANY MORE)…
- Run
run
** Fatal: (vsim-160) C:/Modeltech_pe_edu_10.4a/win32pe_edu/…/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh(27): Null foreign function pointer encountered when calling ‘uvm_dpi_get_next_arg_c’
Time: 0 ns Iteration: 0 Process: /uvm_pkg/#INITIAL#619 File: C:/Modeltech_pe_edu_10.4a/win32pe_edu/…/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh
Fatal error in Module uvm_pkg at C:/Modeltech_pe_edu_10.4a/win32pe_edu/…/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh
Any help greatly appreciated
Thanks