Hanging register write

hello everyone,
I am trying register model front door access. when i use write method to write one register, simulation gets hangs on the code below. But I am not able find the problem. Does any of you have an idea why is that so and how to resolve the problem.
Appreciate your help.


   // in uvm_reg.svh, uvm_reg::do_write(uvm_reg_item rw)
   if (rw.status != UVM_IS_OK) begin
     m_write_in_progress = 1'b0;
 
     XatomicX(0);
         
     return;
   end

In reply to hexing555:

Are you doing multi-threaded register writes? the UVM committee is looking into some problems with that.