DUPIDN compilation error for reg models

Hi
I have two reg models “pc1” and “pc2” with different base addresses which are having same class names for all register blocks except the top block. I have two instances of each one. During compilation, pc2 throws *E,DUPIDN identifier ‘ral_reg_XYZ’ previously declared and I see this class being declared in pc1.

Can’t we have similar reg class names register models (minor reset value changes)with different base addresses? Any solution to fix this duplicate issue?

Thanks in advance