task run_phase(uvm_phase phase);
my_seq_item req;
forever begin
seq_item_port.get_next_item(req);
begin
my_vif.write_data <= req.data;
end
seq_item_port.item_done();
end
endtask
my code is above, when run test, it drives sequences to driver via sequencer, but in run_phase of driver i get a error message, it is below.
**Fatal: (SIGSEV) Bad handle or reference.
Fatal error in Task my_agent_pkg/my_driver::run_phase at my_driver.sv Line 72
Could you please show what you did. It seems it is not working, i.e. something is missing …
Where do you pas the virtual interface to the config_db and where and how do you retrieve the virtual interface from the config_db.