In reply to Annapoornahm:
System task like $display is used along with a sequence expression.
property p1;
( $rose(req), $display("req received at time:%0t",$time)) |=> ($rose(grant)[->1], $display("grant received at time:%0t",$time));
endproperty
Note: The $display() executes only if the sequence matches
One can also use 1 ( always true ) as a sequence expression
property p2;
$rose(req) ##0 (1,$display("req received at time:%0t",$time)) |=> $rose(grant)[->1] ##0( 1,$display("grant received at time:%0t",$time));
endproperty
Try the following:
property p1;
@(posedge core_clk) $fell(g1) |-> ($rose(g3) throughout $fell(g4)) ##80 $rose(g5)
##0(1,`uvm_info("ASSERTION","INSIDE ASSERTION MODULE",UVM_MEDIUM) );
endproperty
Personally I have never tried `uvm_info as sequence_match_item ( although I have tried them as pass action block ).
Let us know if it works ( I assume you have `include uvm_macros.svh,uvm_pkg.svh and then imported the uvm_pkg )