Bad pointer access... while opening waveform

facing issue while opening waveform using command line (vsim vsim.wlf).
Bad pointer access… closing vish.
writing stack trace to vish_stacktrace.vstf

regards
dhasa

In reply to Dhasa:

This Siemens EDA forum is not for tool related issues. Please contact your vendor support team for additional assistance.