Assertion to check duty cycle

I want to add assertion to check duty cycle of clock using SVA. Please can somebody suggest a code.

In reply to kesav_apj:

https://verificationacademy.com/forums/systemverilog/checking-60-duty-cycle-clock