A resource with meta characters in the field name has been created "m_regmod "

RAL RELATED QUESTION

I am creating the RAL model using below procedure

  core_top_map = create_map(base_addr, 0, 8, UVM_LITTLE_ENDIAN, 0);
  default_map    = core_top_map;


  this.m_regmod = ifd_csr_reg_block::type_id::create("m_regmod",,get_full_name());
  this.m_regmod.configure(this, "CORE_TOP_M_CSR");
  this.m_regmod.build(null);
  core_top_map.add_submap(m_regmod.default_map, base_addr + `M_BASE_ADDR);
  this.m_regmod.lock_model(); 

but in simulation log, getting UVM_WARNING
UVM_WARNING /opt/synopsys/vcs/S-2021.09-SP1-1//etc/uvm-1.2//src/base/uvm_resource.svh(1430) @ 0: reporter [UVM/RSRC/NOREGEX] “a resource with meta characters in the field name has been created” “m_regmod”

how do we Remove the UVM_WARNING ??