Sequence in go-to reptition and non-consecutive repetition

Assume i have a sequence S1 and can i use the sequence with go-to repetition and non-consecutive repetition like below

a. s1[->1:3]
b. s1[=1:3]

I was reading through the IEEE Std 1800TM-2017 manual, in page 393 it says “The goto repetition (nonconsecutive exact repetition) takes a Boolean expression rather than a sequence as operand.”

Further reading at “SystemVerilog Repetition operators - Verification Guide” also says that repetition(*) can be used with sequence, not the go-to and non-consecutive.

Does my understanding is correct?

In reply to gounder:

 
// is legal 
assert property(@(posedge clk)
                    a	|-> b[->1][*2]);
// because b[->1] is a sequence equivalent to 
!b[$0:$] ##1 b