Model-Sim Warning

Hi All,

Can you help me to solve below model-sim warning in following source.?

Warning :

Warning: temp1.sv(17): (vlog-2643) Unterminated string literal continues onto next line.

Source :


``` verilog


module temp1;

int f_handle;

initial
  f_handle = $fopen("temp.htm");
  
initial 
begin
  display_string("system_verilog",10);
  $stop;
end

function void display_string(string s,time t);

  $fdisplay(f_handle,"<table border=2 style=width:320px>
                 <tr>
                   <td><center><b><font color= blue>STRING</font></b></center></td>
                 </tr>
               </table>

               <table border= 1  style=width:320px>
                 <col width=180>
                 <col width=140>
                 <tr>
                   <td><center><font color= blue>TIME(us)</font></center></td>
                   <td><center><font color= blue>DATA</font></center></td>
                 </tr>
               </table>

               <table border=1  style=width:320px>
                 <col width=180>
                 <col width=140>
                 <tr>
                   <td><center><font color= black>%t</font></center></td>
                   <td><center><font color= black>%s</font></center></td>
                 </tr>
               </table>",t,s);

endfunction : display_string

endmodule

Type this command:

verror 2643

In reply to manoj_k86:

When I do it, I get:

$ verror 2643
Model Technology ModelSim SE verror 10.3 Extended Help Utility 2014.01 Jan 6 2014
verror 2643
Message # 2643:
A string literal shall be contained in a single line
unless the new line is immediately preceded by a (backslash).
In this case, the backslash and the newline are ignored.
There is no predefined limit to the length of a string literal.
[DOC: IEEE Std 1800-2012 Verilog LRM - 5.9 String literals]

In reply to dave_59:

Hi Dave,

when i try to run above command in model-sim transcript window it give me message :

“There is no additional information for this message”

Model-sim version :

Model Technology ModelSim ALTERA vlog 10.1d Compiler 2012.11 Nov 2 2012

Please provide your comments.