Hi All,
Can you help me to solve below model-sim warning in following source.?
Warning :
Warning: temp1.sv(17): (vlog-2643) Unterminated string literal continues onto next line.
Source :
``` verilog
module temp1;
int f_handle;
initial
f_handle = $fopen("temp.htm");
initial
begin
display_string("system_verilog",10);
$stop;
end
function void display_string(string s,time t);
$fdisplay(f_handle,"<table border=2 style=width:320px>
<tr>
<td><center><b><font color= blue>STRING</font></b></center></td>
</tr>
</table>
<table border= 1 style=width:320px>
<col width=180>
<col width=140>
<tr>
<td><center><font color= blue>TIME(us)</font></center></td>
<td><center><font color= blue>DATA</font></center></td>
</tr>
</table>
<table border=1 style=width:320px>
<col width=180>
<col width=140>
<tr>
<td><center><font color= black>%t</font></center></td>
<td><center><font color= black>%s</font></center></td>
</tr>
</table>",t,s);
endfunction : display_string
endmodule